Архитектура Аудит Военная наука Иностранные языки Медицина Металлургия Метрология
Образование Политология Производство Психология Стандартизация Технологии


Постановка задачи и цель курсовой работы



Постановка задачи курсовой работы формулируется в техническом задании, которое может задаваться студенту как индивидуально, так и в виде типового варианта. Вид задания для студенческой группы либо для отдельных студентов определяет преподаватель-консультант, оформляя техническое задание соответствующим образом. Типовые варианты заданий на курсовую работу приведены далее (см. с. 427, 436, 437). Студенты заочной формы обучения при выборе задания руководствуются указаниями преподавателя-консультанта, либо (при отсутствии таковых), выбирая номер варианта по своему порядковому номеру в учебной группе (задание 1, табл. Р1) и по двум последним цифрам шифра студенческого билета (зачетной книжки) студента в соответствующем столбце и строке таблиц, приведенных в п. 3.4.3.2 (задание 2).

Выполненная работа предоставляется на рецензию преподавателю-консультанту (в определяемые графиком учебного процесса сроки). При защите курсовой работы студент должен кратко изложить суть проделанной работы и ответить на предложенные вопросы.

Главной целью курсовой работы является закрепление теоретических знаний по дисциплине «Схемотехника ЭВМ», приобретение практических навыков расчета, анализа и синтеза комбинационных схем на ЛЭ, выполнение схемотехнического проектирования заказной интегральной микросхемы, выполняющей заданные функции преобразования цифровой информации. В ходе выполнения курсовой работы производится анализ комбинационных схем, построенных на ПЭВМ в системе машинного моделирования Electronics Workbench.

Курсовая работа состоит из двух заданий:

1) по расчету комбинационной схемы;

2) по расчету синхронных пересчетных схем и реверсивных сдвигающих регистров.

По окончании выполнения курсовой работы представляется пояснительная записка в сброшюрованном виде на листах формата 11 (297 210 мм). На титульном листе помимо обычных реквизитов должны быть указаны заголовок курсовой работы и номера вариантов обеих задач. Требования по оформлению пояснительной записки приводятся ниже.

В тексте пояснительной записки приводится содержание заданий, исходные данные и условия, вычерчиваются необходимые схемы. Все основные положения, приводимые в расчетах, должны сопровождаться обоснованием. При записи цифровых данных и результатов измерений необходимо указывать единицы измерений. Все записи, таблицы, схемы должны выполняться с соблюдением требований ЕСКД.

К пояснительной записке на электронном носителе прикладываются программы и результаты расчета на ПЭВМ, вычерчиваются графики и необходимые эпюры напряжений.

Задание 1. Расчет, анализ и синтез комбинационных схем

3.4.2.1. Содержание задания. Задание 1 предполагает последовательное решение следующих его составляющих:

1. По принципиальной электрической схеме провести анализ и установить функциональную зависимость в виде формул алгебры логики и таблицы истинности.

2. По заданной таблице истинности составить совершенную дизъюнктивную нормальную форму (СДНФ) и совершенную конъюнктивную нормальную форму (СКНФ).

3. Минимизировать логическую функцию любым известным методом.

4. Синтезировать комбинационное устройство в заданном базисе И–НЕ, ИЛИ–НЕ.

5. Синтезировать комбинационное устройство в заданном базисе двухвходовых элементов 2И–НЕ, 2ИЛИ–НЕ.

6. Экспериментально проверить работу синтезированного устройства на ПЭВМ в системе Electronics Workbench.

3.4.2.2. Варианты заданий. На рис. Р1 представлена исходная схема, которая используется при выполнении задания 1.

Рис. Р1

Варианты заданий представлены в табл. Р1, в которой: ДД1, ДД2 – инверторы, прочерк в таблице соответствует отсутствию инвертора, знак «*» соответствует наличию инвертора в схеме.

Таблица Р1

№ варианта ДД1 ДД2 ДД3 ДД4 ДД5 ДД6 ДД7
*   И ИЛИ И–НЕ ИЛИ И
  * ИЛИ–НЕ И–НЕ ИЛИ–НЕ И ИЛИ
  * И ИЛИ ИЛИ–НЕ И ИЛИ–НЕ
  * И–НЕ ИЛИ–НЕ И–НЕ ИЛИ И–НЕ
*   ИЛИ И И–НЕ ИЛИ И–НЕ
*   И–НЕ И ИЛИ–НЕ И ИЛИ–НЕ
  * ИЛИ–НЕ ИЛИ ИЛИ И–НЕ И
*   И И–НЕ ИЛИ–НЕ И ИЛИ–НЕ
  * И–НЕ И И–НЕ ИЛИ И–НЕ
*   ИЛИ И ИЛИ–НЕ И ИЛИ–НЕ
*   ИЛИ–НЕ ИЛИ И–НЕ ИЛИ И–НЕ
  * ИЛИ–НЕ ИЛИ И ИЛИ–НЕ ИЛИ
  * ИЛИ И–НЕ ИЛИ И–НЕ И
  * ИЛИ–НЕ И–НЕ ИЛИ–НЕ И ИЛИ–НЕ
*   И–НЕ ИЛИ И ИЛИ–НЕ ИЛИ–НЕ
*   ИЛИ–НЕ И ИЛИ И–НЕ И
*   И ИЛИ И–НЕ ИЛИ И–НЕ
*   И–НЕ И ИЛИ–НЕ И ИЛИ
  * ИЛИ–НЕ И–НЕ И И ИЛИ
  * ИЛИ–НЕ ИЛИ ИЛИ И–НЕ И–НЕ
*   И И–НЕ ИЛИ И–НЕ И–НЕ
*   И–НЕ ИЛИ И ИЛИ–НЕ ИЛИ–НЕ
  * ИЛИ–НЕ ИЛИ ИЛИ И–НЕ И
  * И–НЕ И И–НЕ ИЛИ И–НЕ
*   ИЛИ И–НЕ И ИЛИ–НЕ ИЛИ
*   ИЛИ–НЕ ИЛИ ИЛИ И–НЕ И–НЕ
  * И–НЕ И И ИЛИ–НЕ ИЛИ
*   ИЛИ ИЛИ–НЕ ИЛИ–НЕ И ИЛИ
  * И ИЛИ И ИЛИ–НЕ ИЛИ-НЕ
*   ИЛИ И И-НЕ ИЛИ И
*   ИЛИ–НЕ ИЛИ И ИЛИ–НЕ ИЛИ–НЕ
*   ИЛИ ИЛИ–НЕ И–НЕ ИЛИ И–НЕ
  * ИЛИ И–НЕ ИЛИ–НЕ И ИЛИ
  * ИЛИ–НЕ И ИЛИ–НЕ ИЛИ–НЕ ИЛИ
*   ИЛИ–НЕ ИЛИ-НЕ И–НЕ ИЛИ И–НЕ
*   И И-НЕ И–НЕ ИЛИ И–НЕ
  * И–НЕ И ИЛИ–НЕ И ИЛИ–НЕ
*   ИЛИ ИЛИ–НЕ ИЛИ И–НЕ И
  * ИЛИ И ИЛИ И-НЕ И–НЕ
*   ИЛИ И–НЕ И ИЛИ–НЕ ИЛИ–НЕ

 

3.4.2.3. Методические указания по выполнению задания 1. Интегральные логические микросхемы имеют широкий набор различных ЛЭ, функциональных узлов цифровых устройств. Они отличаются высокой надежностью, малыми габаритами и массой, малым потреблением энергии. Реальные цифровые комбинационные устройства выполняются с использованием интегральных микросхем.

Дискретный автомат – комбинационное устройство без памяти можно представить в виде п, т – многополюсника (рис. Р2).

Рис. Р2

Условия функционирования дискретного автомата можно представить в виде системы логических функций, называемых функциями выходов:

y1 = f1(x1, x2, …, xn);

y2 = f2(x1, x2, …, xn);

ym = fm(x1, x2, …, xn).

Задача анализа условия функционирования сводится к определению всех функций выхода автомата по известной принципиальной электрической схеме реального устройства. Результат анализа представляется в виде функций алгебры логики и таблицы истинности. Другими словами, необходимо установить функциональную зависимость между входными переменными дискретного автомата и значениями выходных дискретных сигналов в виде формул алгебры логики и таблицы истинности.

Анализ дискретного автомата целесообразно проводить в следующей последовательности:

1. На функциональной схеме дискретного автомата выходы всех ЛЭ обозначить символами промежуточных переменных.

2. Определить и записать функции непосредственных связей, устанавливающие зависимости выхода каждого ЛЭ от его входов.

3. Путем подстановок исключить все внутренние переменные. Получить зависимости выходов комбинационного устройства от его входов.

4. Составить таблицу истинности.

После составления таблицы истинности целесообразно перейти к совершенной дизъюнктивной нормальной форме (СДНФ) и к совершенной конъюнктивной нормальной форме (СКНФ).

Дизъюнктивной нормальной формой (ДНФ) называется такая форма представления функции, при которой логическое выражение строится в виде дизъюнкции (логической суммы) ряда членов, каждый из которых является простой конъюнкцией (логическим произведением) аргументов или их инверсий. Каждый аргумент или его инверсия в конъюнкцию входит один раз. Если в каждом члене ДНФ представлены все аргументы (или их инверсии) функции, то такая форма называется совершенной ДНФ (СДНФ).

Совершенная дизъюнктивная нормальная форма из таблицы истинности получается по следующему простому алгоритму. Она построена из суммы конъюнкций, которые составлены в соответствии только с теми комбинациями значений переменных, на которых функция принимает значение единицы. В конъюнкцию собираются в качестве сомножителей без знака отрицания все аргументы, значения которых в наборе равны 1, и со знаком отрицания (инверсии) те аргументы, значения которых в наборе равны 0. Число конъюнкций в совершенной дизъюнктивной нормальной форме равно числу единичных значений функции на всех возможных комбинациях значений ее аргументов (переменных). Так как члены СДНФ связаны операцией дизъюнкции, то при обращении в единицу одного из членов функция оказывается равной единице. Любая функция имеет единственную СДНФ.

Конъюнктивной нормальной формой (КНФ) называется форма представления функции в виде конъюнкции (логического произведения) ряда членов, каждый из которых является простой дизъюнкцией аргументов или их инверсий. Каждый аргумент или его инверсия в дизъюнкцию входит один раз. Если в каждом члене КНФ представлены все аргументы (или их инверсии), то такая форма называется совершенной конъюнктивной нормальной формой (СКНФ).

Совершенная конъюнктивная нормальная форма из таблицы истинности получается по следующему простому алгоритму. Она построена из логического произведения дизъюнкций, которые составлены в соответствии только с теми комбинациями значений переменных, на которых функция принимает нулевое значение. В дизъюнкцию собираются в качестве слагаемых без знака отрицания все аргументы, значения которых в наборе равны 0, и со знаком отрицания (инверсии) те аргументы, значения которых в наборе равны 1.

Выражение для СКНФ содержит столько членов, сколько нулей имеется среди значений функции в таблице истинности. Таким образом, каждому набору значений аргументов, на котором функция равна нулю, соответствует определенный член СКНФ, принимающий на этом наборе значений нуль. Так как члены СКНФ связаны операцией конъюнкции, то при обращении в нуль одного из членов функция оказывается равной нулю. Любая функция имеет единственную СКНФ.

Структурная схема логического устройства может быть построена непосредственно по канонической форме (СДНФ или СКНФ) реализуемой функции. Но получающиеся схемы чаще всего неоправданно сложные, требуют использования большого числа логических элементов, имеют низкие экономичность и надежность. Представление функции в виде СДНФ или СКНФ может быть сокращено. Методы упрощения функции называются методами минимизации функций.

Для минимизации алгебраических выражений используются известные соотношения булевой алгебры.

x 0= x x∙ 0 = 0
x 1 = 1 x∙ 1 = x
xx = x
x x = x x = 0
x y = y x xy = yx
x (y z) = (x y) z (xy)∙ z = x∙ (yz)
x(y z) = xy xz x (yz) = (x y)(x z)
(x y)∙ y = y (xy) y = y

Из этих основных соотношений следует, например, такие полезные соотношения, как х у (х у) = ху.

Из дискретного анализа известно, что существуют такие формулы представления функции, которые дальше уже нельзя упростить. Они называются сокращенными. Известно также, что не всякая сокращенная форма является минимальной. Однако минимальную по числу переменных следует искать среди сокращенных форм. Переход к сокращенной форме основан на последовательном применении двух операций: операции склеивания и операции поглощения.

Для выполнения операции склеивания в выражении функции выявляются пары членов вида

w x w ,

различающиеся лишь тем, что один из аргументов в одном из членов представлен без инверсии, а в другом – с инверсией. Затем проводится склеивание таких пар членов:

Результаты склеивания w вводятся в выражение функции.

Операция поглощения основана на равенстве

Член w поглощен член wz. При проведении этой операции из логического выражения вычеркиваются все члены, поглощаемые членами, которые введены в результате операции склеивания. Операции склеивания и поглощения выполняются последовательно до тех пор, пока это возможно.

Для получения минимальной конъюнктивной нормальной формы логической функции имеются следующие особенности:

♦ исходной формой для минимизации логического выражения является СКНФ;

♦ пары склеиваемых членов имеют вид w x w ,

♦ операция поглощения проводится в соответствиис выражением:

z (z y)= z z∙ y= z (1 y)= z.

Сокращенная форма может содержать лишние члены, исключение которых из выражения не повлияет на значение функции. Дальнейшее упрощение логического выражения достигается исключением из выражения лишних членов. В этом заключается содержание минимизации.

Целью минимизации логической функции является уменьшение стоимости ее технической реализации. Критерий минимизации далеко не однозначен и зависит как от типа решаемой задачи, так и от технологии. С развитием микроэлектроники критерии минимизации претерпели существенные изменения. Стоимость БИС и СБИС определяется в основном площадью схемы на кристалле и мало зависит от числа входящих в нее транзисторов и других элементов. На первое место при проектировании самих ИС выдвигается требование регулярности внутренней структуры и минимизации числа внешних соединений даже за счет увеличения числа элементов и внутренних соединений. Эти требования диктуются требованиями повышения надежности электронных средств.

Однако при проектировании аппаратуры с применением БИС и СБИС, требование уменьшения числа корпусов ИС и их межсоединений по-прежнему остается важным.

Минимизацию логической функции можно проводить любым известным методом, например, методами Квайна – Мак-Класки, методом Петрика, с использованием карт Вейча, карт Карно. Методы минимизации изучаются в теоретическом курсе.

В результате минимизации получается логическая функция, для технической реализации которой необходимо использовать разнообразные ЛЭ: И, ИЛИ, НЕ. В то же время с точки зрения обеспечения регулярной структуры устройство требуется строить на однотипных элементах.

Программируемые логические СБИС и полузаказные СБИС на основе базовых матричных кристаллах содержат отдельные нескоммутированные между собой элементарные логические элементы 2И–НЕ либо 2ИЛИ–НЕ, которые могут быть соединены между собой в соответствии с заданным алгоритмом обработки логических сигналов.

Для синтеза функции в базисе ИЛИ–НЕ получают нормальную минимальную конъюнктивную форму, дважды ее инвертируют, далее проводят преобразование по формуле де Моргана.

.

При синтезе в базисе И–НЕ должна быть получена минимальная нормальная дизъюнктивная форма. Преобразование проводят по другой формуле де Моргана в виде

Приведем формы записи логических операций:

2И–НЕ (штрих Шеффера)

2ИЛИ–НЕ (стрелка Пирса)

Обычно задается не только тип ЛЭ, но и число его входов. При этом реальное число входов заданных ЛЭ не соответствует числу переменных в полученных после соответствующего преобразования выражениях. Рассмотрим ситуацию, когда число входов логического элемента меньше числа переменных, входящих в реализуемую с их помощью функцию алгебры логики. На рис. Р2 показан способ реализации трехбуквенного члена логического выражения функции на различных типах элементов с двумя входами 2ИЛИ–НЕ (рис. Р3, а), 2И–НЕ (рис. Р3, б).

Рис. Р3

Для технической реализации логической функции в этих случаях следует провести соответствующее преобразование групп членов на основе тождественных соотношений

Моделирование работы устройства на ПЭВМ в ППП Electronics Workbench можно проводить на всех этапах расчета и выполнения домашнего задания. Для сборки необходимо использовать элементы НЕ, И, ИЛИ, И–НЕ, ИЛИ–НЕ (рис. Р4).

Рис. Р4

К входам схем подключить генератор слов, и к выходу логический пробник. Генератор слов запрограммирован на формирование последовательности из восьми слов, соответствующих числам от 0 до 7: 0 = 000; 1 = 001; 2 = 010; 3 = 011; 4 = 100; 5 = 101; 6 = 110; 7 = 111. В пошаговом режиме, последовательно подавая на вход полученной схемы все слова последовательности, определить при помощи логического пробника уровень сигналов на выходе схемы.

3.4.2.4. Пример выполнения задания.

Задание. Провести анализ комбинационной схемы, минимизировать логическую схему и синтезировать комбинационное устройство в заданных базисах ЛЭ 2И–НЕ, 2ИЛИ–НЕ (рис. Р5).

Исходные данные:

ДД1 – НЕ; ДД2 – ИЛИ–НЕ; ДД3 – И–НЕ; ДД4 – И; ДД5 – ИЛИ–НЕ; ДД6 – ИЛИ.

Решение.

1.Установим функциональную зависимость.

Обозначим промежуточные переменные.

.

Исключим внутренние промежуточные переменные.

Рис. Р5

2. Составим таблицу истинности.

х1
х2
х3
у

Совершенная дизъюнктивная нормальная форма

Каждому члену СДНФ соответствует единичное значение функции.

2. Проведем склеивание и поглощение, получим сокращенную форму.

Склеиваются следующие пары членов:

1-й и 4-й:

2-й и 3-й:

3-й и 4-й:

Результат склеивания:

5. Сокращенная форма содержит лишний член. Для перехода к минимальной форме строим импликантную таблицу.

 
*     *
  * *  
    * *

Импликанты x1x2, составляют ядро, поэтому не могут быть исключены.

Исключаем из сокращенной формы импликанту х1х3.

6. Получаем минимальную дизъюнктивную форму

.

7. Для проверки полученного результата проведем минимизацию другим методом – с использованием карт Карно.

Области I соответствует набор 11* или член .

Области II соответствует набор *01 член .

Минимальная дизъюнктивная форма представляет собой дизъюнкцию двух конъюнкций, соответствующих двум областям:

Минимальные ДНФ, полученные различными методами, совпадают.

8. Построим структурную схему устройства по полученной минимальной ДНФ (рис. Р6).

Рис. Р6

Структурная схема содержит всего 4 элемента вместо 6 в первоначальной схеме. Значительно сокращено число межэлементных соединений. Однако в схеме использованы 3 различных элемента НЕ (ДД1), И (ДД2, ДДЗ), ИЛИ (ДД4).

9. Синтезируем схему в базисе 2И–НЕ.

10. Построим структурную схему устройства в базисе 2И–НЕ. (рис. Р7).

Рис. Р7

Для построения схемы из 4 элементов 2И–НЕ достаточно взять одну микросхему типа К555ЛА3.

Принципиальная электрическая схема выглядит следующим образом (рис. Р8).

Рис. Р8

11. В ППП EWB моделируем необходимую схему. На входы x1, x2, х3 подаем стандартные сигналы 000, 001, 010, 011, 100, 101, 110, 111 и на выходе y проверяем логические уровни на соответствие таблице истинности.

12. Для построения комбинационного автомата в базисе 2ИЛИ–НЕ составляем совершенную конъюнктивную нормальную форму

Каждому члену произведения СКНФ соответствует нулевое значение функции.

13. Проведем склеивание и поглощение, получим сокращенную форму.

Склеиваются следующие пары членов:

1-й и 2-й:

1-й и 3-й:

3-й и 4-й:

Результаты склеивания:

14. Для перехода к минимальной форме строим импликантную таблицу.

 
* *    
*   *  
    * *

Импликанты составляют ядро, поэтому не могут быть исключены. Исключаем из сокращенной формы импликанту

14. Получаем минимальную конъюнктивную форму:

Прямой подстановкой значений переменных можно убедиться, что данная минимальная конъюнктивная форма соответствует таблице истинности.

15. Для проверки полученного результата проведем минимизацию также и другим методом – с использованием карт Карно.

Области I соответствует набор 01* или член

Области II соответствует набор *00 или член

Минимальная конъюнктивная форма представляет собой конъюнкцию двух дизъюнкций, соответствующих двум областям:

Минимальные конъюнктивные формы, полученные разными методами, совпадают.

16. Построим структурную схему устройства по полученной минимальной КНФ (рис. Р9).

Рис. Р9

Структурная схема содержит 4 элемента. Причем используются различные элементы: НЕ (ДД1), ИЛИ (ДД2, ДД3), И (ДД4).

17. Синтезируем схему в базисе ИЛИ–НЕ. Для этого используем формулы де Моргана. В результате преобразований получим

;

18. Построим структурную схему устройства в базисе 2ИЛИ–НЕ (рис. Р10).

Рис. Р10

Для технической реализации схемы из 4 элементов 2ИЛИ–НЕ достаточно взять одну микросхему К555ЛЕ1. Принципиальная электрическая схема выглядит следующим образом (рис. Р11).

Рис. Р11

На этой схеме наглядно видно, какие монтажные соединения необходимо выполнить на микросхеме К555ЛЕ1.

19. В ППП EWB моделируем необходимую схему. На входы подаем стандартные сигналы 000, 001, 010, 011, 100, 101, 110, 111 и на выходе у проверяем логические уровни на соответствие таблице истинности.

Задание 2. Расчет, анализ и синтез комбинационных схем

3.4.3.1. Содержание задания. Задание 2 предполагает последовательное решение следующих его составляющих:

1) синтез структуры проектируемого устройства;

2) анализ сложности проектируемого устройства и выбор типа триггера, использование которого для реализации устройства позволяет минимизировать его сложность;

3) синтез триггерного устройства выбранного типа.

3.4.3.2. Варианты заданий. Спроектировать схему заказной ИС, выполняющую функцию восьмиразрядного синхронного реверсивного сдвигающего регистра и синхронной реверсивной пересчётной схемы по следующим исходным данным, приведенным в табл. Р2, Р3 и Р4, Р5, соответственно.

Таблица Р2

Выполняемые операции сдвига Типы триггеров, подлежащих рассмотрению при реализации регистра
D, JK T, RS T, JK D, RS T, DV
Две последние цифры шифра
Влево на 2 разряда Вправо на 1 разряд
Влево на 3 разряда Вправо на 2 разряда
Влево на 1 разряд Вправо на 3 разряда Влево на 3 разряда Вправо на 3 разряда                    
Влево на 2 разряда Вправо на 3 разряда

 

Таблица Р3

Выполняемые операции сдвига Типы триггеров, подлежащих рассмотрению при реализации регистра
T, RS T, DV D, RS T, JK JK, RS
Две последние цифры шифра
Влево на 2 разряда Вправо на 2 разряда
Влево на 3 разряда Вправо на 2 разряда
Влево на 3 разряда Вправо на 1 разряд
Влево на 2 разряда Вправо на 2 разряда
Влево на 1 разряд Вправо на 2 разряда

Таблица Р4

Генерируемая послед. двоичных эквивалентов чисел Типы триггеров, подлежащих рассмотрению при реализации счётчика
T, RS T, DV D, RS T, JK JK, RS
Две последние цифры шифра
7, 3, 0, 6, 5, 1, 4 (1, 4, 5, 6, 0, 3, 7)
7, 1, 2, 3, 0, 5, 4 (4, 5, 0, 3, 2, 1, 7)
0, 7, 1, 5, 4, 2, 3 (3, 2, 4, 5, 1, 7, 0)
0, 5, 1, 7, 3, 2, 4 (4, 2, 3, 7, 1, 5, 0)
5, 4, 2, 0, 7, 6, 1 (1, 6, 7, 0, 2, 4, 5)

Таблица Р5

Генерируемая послед. двоичных эквивалентов чисел Типы триггеров, подлежащих рассмотрению при реализации счётчика
D, JK T, RS T, JK D, RS T, DV
Две последние цифры шифра
5, 4, 2, 0, 7, 6, 1 (1, 6, 7, 0, 2, 4, 5)
1, 5, 6, 2, 4, 3, 0 (0, 3, 4, 2, 6, 5, 1)
7, 3, 0, 6, 5, 1, 4 (4, 1, 5, 6, 0, 3, 7)
7, 4, 5, 3, 6, 1, 0 (0, 1, 6, 3, 5, 4, 7)
0, 7, 1, 5, 4, 2, 3 (3, 2, 4, 5, 1, 7, 0)

3.4.2.3. Методические указания по выполнению задания 2.

Проектирование синхронных сдвигающих регистров. Регистр, как устройство для хранения и преобразования цифровой информации, можно рассматривать как совокупность элементов памяти (триггеров) и комбинационной схемы, которая управляет работой этих элементов. В связи с этим, процесс проектирования регистров можно разделить на два этапа – проектирование схемы управления и проектирования триггерных устройств. Рассмотрим вопросы проектирования схемы управления элементами памяти регистра. Сам процесс проектирования схемы можно представить как поэтапное преобразование описания работы регистра; от словесного описания до формального описания сигналов, управляющих работой триггеров, которое позволяет построить схему, формирующую требуемые сигналы. Содержание основных этапов проектирования рассмотрим на конкретном примере проектирования кольцевого восьмиразрядного синхронного сдвигающего регистра, осуществляющего операции сдвига информации влево на 2 разряда и вправо на 3 разряда.

Выбор типа операций, выполняемой регистром, осуществляется с помощью сигналов управления, количество которых определяется по формуле:

, (Р.1)

где k – количество выполняемых операций, ]A[ – оператор округления числа А до ближайшего целого с избытком. В данном случае необходимо обеспечить выполнение двух операций (сдвиг влево на 2 разряда и сдвиг вправо на 3 разряда), следовательно, my = 1, т. е. требуется один управляющий сигнал. Обозначим этот сигнал как у и примем, что при y = 1 осуществляется сдвиг на 3 разряда вправо, при y = 0 – на 2 разряда влево. Учитывая регулярный характер структуры сдвигающих регистров, описание работы всего регистра можно свести к описанию поведения только одного i-го разряда этого регистра. Из рис. Р12 видно, что состояние i-го разряда регистра в последующий момент времени (t + 1) полностью определяется состоянием разрядов (i – 3) и (i + 2), а также состоянием управляющей переменной у. Описание поведения i-го разряда представим в виде таблицы, в левой части которой расположим все возможные состояния сигналов, влияющих на поведение i-го разряда, (рис. Р12), а в правой – состояние i-го разряда после выполнения операции сдвига и тип перехода, который при этом должен осуществить выходной сигнал i-го разряда. Условные обозначения возможных типов переходов переменной Qi представлены в табл. Р6.

Рис. Р12

Таблица Р6

Условные обозначения типов переходов переменной Qi


Поделиться:



Популярное:

Последнее изменение этой страницы: 2016-03-25; Просмотров: 1347; Нарушение авторского права страницы


lektsia.com 2007 - 2024 год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! (0.118 с.)
Главная | Случайная страница | Обратная связь