Архитектура Аудит Военная наука Иностранные языки Медицина Металлургия Метрология
Образование Политология Производство Психология Стандартизация Технологии


Логические элементы И, ИЛИ, НЕ и т.д. и схемы на их основе



Комбинаторные схемы.

Комбинаторные схемы-схемы, к. выполняют логические операции(У комбинационных устройств состояние выхода однозначно определяется набором входных сигналов. Это отличает комбинационную логику от секвенциальной логики, в рамках которой выходное значение зависит не только от текущего входного воздействия, но и от предыстории функционирования цифрового устройства. Другими словами, секвенциальная логика предполагает наличие памяти, которая в комбинационной логике не предусмотрена.) Состоят из логических элементов Не, И, Или, Искл. Или, Не-И, Или-не и т.д.

Логические элементы И, ИЛИ, НЕ и т.д. и схемы на их основе

Шифратор и дешифратор. Реализация на логических элементах.

Триггеры.

Триггер (триггерная система) — класс электронных устройств, обладающих способностью длительно находиться в одном из двух устойчивых состояний и чередовать их под воздействием внешних сигналов. Каждое состояние триггера легко распознаётся по значению выходного напряжения. По характеру действия триггеры относятся к импульсным устройствам — их активные элементы (транзисторы, лампы) работают в ключевом режиме, а смена состояний длится очень короткое время.

Отличительной особенностью триггера как функционального устройства является свойство запоминания двоичной информации. Под памятью триггера подразумевают способность оставаться в одном из двух состояний и после прекращения действия переключающего сигнала. Приняв одно из состояний за «1», а другое за «0», можно считать, что триггер хранит (помнит) один разряд числа, записанного в двоичном коде.

При изготовлении триггеров применяются преимущественно полупроводниковые приборы (обычно биполярные и полевые транзисторы), в прошлом — электромагнитные реле, электронные лампы. В настоящее время логические схемы, в том числе с использованием триггеров, создают в интегрированных средах разработки под различные программируемые логические интегральные схемы (ПЛИС). Используются, в основном, в вычислительной технике для организации компонентов вычислительных систем: регистров, счётчиков, процессоров, ОЗУ.

Триггер — это запоминающий элемент с двумя (или более) устойчивыми состояниями, изменение которых происходит под действием входных сигналов и предназначен для хранения одного бита информации, то есть лог. 0 или лог. 1.

Все разновидности триггеров представляют собой элементарный автомат, включающий собственно элемент памяти (ЭП) и комбинационную схему (КС), которая может называться схемой управления или входной логикой (рис. 7).

В графе триггера каждая вершина графа соединена со всеми другими вершинами, при этом переходы от вершины к вершине возможны в обе стороны (двухсторонние). Граф двоичного триггера — две точки соединённые отрезком прямой линии, троичного триггера — треугольник, четверичного триггера — квадрат с диагоналями, пятеричного триггера — пятиугольник с пентаграммой и т. д. При N=1 граф триггера вырождается в одну точку, в математике ему соответствует унарная единица или унарный ноль, а в электронике — монтажная «1» или монтажный «0», то есть простейшее ПЗУ. Устойчивые состояния имеют на графе триггера дополнительную петлю, которая обозначает, что при снятии управляющих сигналов триггер остаётся в установленном состоянии.

Состояние триггера определяется сигналами на прямом и инверсном выходах. При положительном кодировании (позитивная логика) высокий уровень напряжения на прямом выходе отображает значение лог. 1 (состояние = 1), а низкий уровень — значение лог. 0 (состояние = 0). При отрицательном кодировании (негативная логика) высокому уровню (напряжению) соответствует логическое значение «0», а низкому уровню (напряжению) соответствует логическое значение «1».

Изменение состояния триггера (его переключение или запись) обеспечивается внешними сигналами и сигналами обратной связи, поступающими с выходов триггера на входы схемы управления (комбинационной схемы или входной логики). Обычно внешние сигналы, как и входы триггера, обозначают латинскими буквами R, S, T, C, D, V и др. В простейших схемах триггеров отдельная схема управления (КС) может отсутствовать. Поскольку функциональные свойства триггеров определяются их входной логикой, то названия основных входов переносятся на всю схему триггера.

Входы триггеров разделяются на информационные (R, S, T и др.) и управляющие (С, V). Информационные входы предназначены для приема сигналов запоминаемой информации. Названия входных сигналов отождествляют с названиями входов триггера. Управляющие входы служат для управления записью информации. В триггерах может быть два вида управляющих сигналов:

  • синхронизирующий (тактовый) сигнал С, поступающий на С-вход (тактовый вход);
  • разрешающий сигнал V, поступающий на V-вход.

На V-входы триггера поступают сигналы, которые разрешают (V=1) или запрещают (V=0) запись информации. В синхронных триггерах с V-входом запись информации возможна при совпадении сигналов на информационном С и V-входах.

Работа триггеров описывается с помощью таблицы переключений, являющейся аналогом таблицы истинности для комбинационной логики. Выходное состояние триггера обычно обозначают буквой Q. Индекс возле буквы означает состояние до подачи сигнала (t) либо (t-1) или после подачи сигнала (t+1) или (t). В триггерах с парафазным (двухфазным) выходом имеется второй (инверсный) выход, который обозначают как Q, /Q или Q'.

Кроме табличного определения работы триггера существует формульное задание функции триггера в секвенциальной логике. Например, функцию RS-триггера в секвенциальной логике представляет формула . Аналитическая запись SR-триггера выглядит так: .

Типы триггеров

RS-триггеры

RS-триггер асинхронный

S R Q(t) Q(t) Q(t+1) Q(t+1)
не определено не определено
не определено не определено

Асинхронный RS-триггер с инверсными входами

RS-триггер [10][11], или SR-триггер — триггер, который сохраняет своё предыдущее состояние при нулевых входах и меняет своё выходное состояние при подаче на один из его входов единицы.

При подаче единицы на вход S (от англ. Set — установить) выходное состояние становится равным логической единице. А при подаче единицы на вход R (от англ. Reset — сбросить) выходное состояние становится равным логическому нулю. Состояние, при котором на оба входа R и S одновременно поданы логические единицы, в простейших реализациях является запрещённым (так как вводит схему в режим генерации), в более сложных реализациях RS-триггер переходит в третье состояние QQ =00. Одновременное снятие двух «1» практически невозможно. При снятии одной из «1» RS-триггер переходит в состояние, определяемое оставшейся «1». Таким образом RS-триггер имеет три состояния, из которых два устойчивых (при снятии сигналов управления RS-триггер остаётся в установленном состоянии) и одно неустойчивое (при снятии сигналов управления RS-триггер не остаётся в установленном состоянии, а переходит в одно из двух устойчивых состояний).

RS-триггер используется для создания сигнала с положительным и отрицательным фронтами, отдельно управляемыми посредством стробов, разнесённых во времени. Также RS-триггеры часто используются для исключения так называемого явления дребезга контактов.

RS-триггеры иногда называют RS-фиксаторами[12].

Условное графическое обозначение асинхронного RS-триггера

Логическая схема асинхронного RS-триггера на элементах 2И–НЕ

Граф переходов асинхронного RS-триггера

Карта Карно асинхронного RS-триггера

Асинхронный RS-триггер на логических элементах 2ИЛИ-НЕ

· Схема устранения дребезга контактов

RS-триггер синхронный

C S R Q(t) Q(t+1)
x x
не определено
не определено

Схема синхронного RS-триггера совпадает со схемой одноступенчатого парафазного (двухфазного) D-триггера, но не наоборот, так как в парафазном (двухфазном) D-триггере не используются комбинации S=0, R=0 и S=1, R=1.

Алгоритм функционирования синхронного RS-триггера можно представить формулой

где x — неопределённое состояние.


Условное графическое обозначение синхронного RS-триггера

Схема синхронного RS-триггера на элементах 2И-НЕ

Граф переходов синхронного RS-триггера

Карта Карно синхронного RS-триггера

D-триггеры

D-триггеры также называют триггерами задержки(от англ. Delay).

D-триггер синхронный

Пример условного графического обозначения (УГО) D-триггера с динамическим синхронным входом С и с дополнительными асинхронными инверсными входами S и R

D Q(t) Q(t+1)

D-триггер ( D от англ. delay — задержка[13][14][15] либо от data[16] - данные) — запоминает состояние входа и выдаёт его на выход. D-триггеры имеют, как минимум, два входа: информационный D и синхронизации С. После прихода активного фронта импульса синхронизации на вход С D-триггер открывается. Сохранение информации в D-триггерах происходит после спада импульса синхронизации С. Так как информация на выходе остаётся неизменной до прихода очередного импульса синхронизации, D-триггер называют также триггером с запоминанием информации или триггером-защёлкой. Рассуждая чисто теоретически, парафазный (двухфазный) D-триггер можно образовать из любых RS- или JK-триггеров, если на их входы одновременно подавать взаимно инверсные сигналы.

D-триггер в основном используется для реализации защёлки. Так, например, для снятия 32 бит информации с параллельной шины, берут 32 D-триггера и объединяют их входы синхронизации для управления записью информации в защёлку, а 32 D входа подсоединяют к шине.

В одноступенчатых D-триггерах во время прозрачности все изменения информации на входе D передаются на выход Q. Там, где это нежелательно, нужно применять двухступенчатые (двухтактные, Master-Slave, MS) D-триггеры.


6. Схемы с элементами памяти.

Различные схемы на основе триггеров(делители частоты, счетчики)

Булевы выражения

Булевы выражения состоят из операндов, разделенных логическими и арифметическими операторами и компараторами и дополнительно сгруппированы с помощью круглых скобок. Выражения используются в булевых уравнениях также как и в других операторах таких как Case и If Then.

 

Булево выражение может быть одним из следующих:

 

1. Операнд

Например, a, b[5..1], 7, VCC

 

2. Подставляемая ссылка на логическую функцию

Например, out[15..0] = 16dmux(q[3..0]);

 

3. Префиксный оператор (! или -), применяемый к булеву выражению

Например, ! c

 

4. Два булевых выражения, разделенных бинарным оператором

Например, d1 $ d3

 

5. Булево выражение, заключенное в круглые скобки

Например, (! foo & bar)

 

Вы можете именовать булевы операторы и компараторы в файлах AHDL для облегчения ввода присваиваний ресурсов и для интерпретации раздела Equations в файле отчета. За дополнительной информацией обратитесь к 1.2.5.2

Логические операторы

В булевых выражениях можно использовать следующие логические операторы:

 

Оператор: Пример: Описание:
! ! tob дополнение до 1
NOT NOT tob  
& bread & butter И
AND bread AND butter  
! & a[3..1]! & b[5..3] И-НЕ
NAND a[3..1] NAND b[5..3]  
# trick # treat ИЛИ
OR trick OR treat  
! # c[8..5]! # d[7..4] ИЛИ-НЕ
NOR c[8..5] NOR d[7..4]  
$ foo $ bar Исключающее ИЛИ
XOR foo XOR bar  
! $ x2! $ x4 Исключающее ИЛИ-НЕ
XNOR x2 XNOR x4  

 

Каждый оператор представляет двухвходовый логический вентиль, за исключением оператора NOT (! ), который является префиксом инвертирования одного узла. Вы можете использовать или имя или символ для представления логического оператора.

Выражения, которые используют эти операторы, интерпретируются различно в зависимости от того, являются ли операнды одиночными узлами, шинами или числами.

Вы можете позволить компилятору заменить И операторы и все компараторы в булевых выражениях на lpm_add_sub и lpm_compare функции, включая логическую опцию Use LPM for AHDL Operators.

Логические функции

Мегафункции/LPM

MAX+PLUS II предлагает большое разнообразие мегафункций, включая LPM функции а также параметризуемые функции. Ниже приводится список мегафункций.

 

Вентили  
lpm_and lpm_inv
lpm_bustri lpm_mux
lpm_clshift lpm_or
lpm_constant lpm_xor
lpm_decode mux
busmux  

 

 

Арифметические компоненты  
lpm_abs lpm_counter
lpm_add_sub lpm_mult
lpm_compare  

 

Запоминающие компоненты  
csfifo lpm_ram_dq
csdpram lpm_ram_io
lpm_ff lpm_rom
lpm_latch lpm_dff
lpm_shiftreg lpm_tff

 

Другие функции  
clklock pll
ntsc  

 

Функции Мегаядра  
a16450 a8255
a6402 fft
a6850 rgb2ycrcb
a8237 ycrcb2rgb
a8251  

 

Мегафункция - сложный или высокоуровневый строительный блок, который можно использовать совместно с примитивами вентилей и триггеров и/или с макрофункциями старого типа в файлах проекта.

Altera поставляет библиотеку мегафункций, включая функции из библиотеки параметризуемых модулей (LPM) версии 2.1.0, в директории \maxplus2\max2lib\mega_lpm, созданной во время инсталляции.

Для просмотра файла, содержащего логику мегафункции, укажите символ мегафункции в графическом редакторе или ее имя в текстовом редакторе и выберите Hierarchy Down (меню File).

 

Библиотека параметризуемых функций (LPM) - технологически-независимая библиотека логических функций, параметризуемая для достижения масштабируемости и адаптируемости. Altera реализовала параметризуемые модули (называемые также параметризуемые функции) из LPM в версии 2.1.0, которые предлагают архитектурно-независимый ввод проекта для всех, поддерживаемых MAX+PLUS II устройств. Компилятор включает встроенную поддержку компиляции LPM для функций, используемых во входных файлах (схемном, AHDL, VHDL, и EDIF).

 

Параметризуемая функция - логическая функция, использующая параметры для достижения масштабируемости, адаптируемости и эффективной реализации в кремнии.

 

Мегафункции Мегаядра - предварительно проверенные HDL файлы для сложных функций системного уровня, которые можно приобрести у Altera. Они оптимизированы под архитектуры FLEX 10K, FLEX 8000, FLEX 6000, MAX 9000, и MAX 7000 устройств. Мегафункции Мегаядра состоят из нескольких файлов. Файл для последующего синтеза используется для реализации проекта (подгонки) в заданном устройстве. Кроме этого прилагаются VHDL или Verilog HDL функциональные модели для проектирования и отладки со стандартными EDA средствами моделирования.

Altera поставляет библиотеку мегафункций, включая любые приобретаемые мегафункции Мегаядра в директории \maxplus2\max2lib\mega_lpm, созданной во время инсталляции.

Если Ваш код доступа для мегафункции Мегаядра содержит разрешение просмотра источника файла проекта, Вы можете просмотреть его, указывая символ мегафункции в графическом редакторе или имя в текстовом редакторе и выбирая Hierarchy Down (меню File).

Ниже приводится описание наиболее часто применяемых мегафункций. Полные сведения по всем мегафункциям можно найти в системе помощи (меню Help, команда Megafunctions/LPM).

 

lpm_and (вентиль И)

 

Altera рекомендует использовать примитивы вентилей И или их операторы вместо lpm_and для более легкой реализации и улучшения времени компиляции. Тем не менее lpm_and могут быть полезны при необходимости иметь параметризуемые входы.

 

Прототип функции

 

FUNCTION lpm_and

(data[LPM_SIZE-1..0][LPM_WIDTH-1..0])

WITH (LPM_WIDTH, LPM_SIZE)

RETURNS (result[LPM_WIDTH-1..0])

 


Порты:

 

ВХОДЫ

Имя порта Необходим Описание Комментарии
data[][] Да Вход данных в вентиль И Размер порта LPM_SIZE x LPM_WIDTH

 

ВЫХОДЫ

Имя порта Необходим Описание Комментарии
result[] Да Побитовое И. Размер порта LPM_WIDTH.

 

Параметры:

 

Параметр Тип Необходим Описание
LPM_WIDTH Целый Да Ширина портов data[][] и result[]. Количество AND вентилей.
LPM_SIZE Целый Да Количество входов в каждый AND вентиль. Количество входных шин.

 

Каждый вентиль И имеет следующую функцию:

Входы Выходы
data[LPM_SIZE-1]_[LPM_WIDTH-1] result[LPM_WIDTH-1]
0XXX...
X0XX...
XX0X...
... ...
1111...

 

Используемый ресурс:

 

Простые вентили lpm_and используют приблизительно одну логическую ячейку на вентиль.

Макрофункции

MAX+PLUS II предлагает свыше 300 макрофункций.

Имена шинных макрофункций оканчиваются на букву В. Они функционально идентичны с соответствующими не шинными макрофункциями, но имеют сгруппированные входные и/или выходные выводы.

Для просмотра схемы или AHDL файла, который содержит логику макрофункции, укажите символ макрофункции в графическом редакторе или имя макрофункции в текстовом редакторе и выберите Hierarchy Down (меню File).

 

Категории макрофункций:

 

Сумматоры Защелки

АЛУ Умножители

Буферы Мультиплексоры

Компараторы Генераторы четности

Конвертеры Быстрые умножители

Счетчики Регистры

Декодеры Сдвиговые регистры

Цифровые Регистры хранения

фильтры

EDAC SSI функции

Шифраторы Элементы ввода/вывода

Делители частоты

 

 

Комбинаторные схемы.

Комбинаторные схемы-схемы, к. выполняют логические операции(У комбинационных устройств состояние выхода однозначно определяется набором входных сигналов. Это отличает комбинационную логику от секвенциальной логики, в рамках которой выходное значение зависит не только от текущего входного воздействия, но и от предыстории функционирования цифрового устройства. Другими словами, секвенциальная логика предполагает наличие памяти, которая в комбинационной логике не предусмотрена.) Состоят из логических элементов Не, И, Или, Искл. Или, Не-И, Или-не и т.д.

Логические элементы И, ИЛИ, НЕ и т.д. и схемы на их основе


Поделиться:



Популярное:

  1. B. Ключевые элементы в учении амилленаризма
  2. Cтадии развития организации, виды оргструктур, элементы организационной структуры
  3. III. Зрелые форменные элементы класса VI
  4. IV. Психофизиологические основы профессионального отбора и профессиографии.
  5. IV. ЭКОЛОГИЧЕСКИЕ ПРАВООТНОШЕНИЯ
  6. IX.ЭКОЛОГИЧЕСКИЕ МЕРОПРИЯТИЯ ПРИ РАЗВЕДКЕ, БУРЕНИИ, ДОБЫЧЕ И ТРАНСПОРТИРОВКЕ УГЛЕВОДОРОДОВ.
  7. X. Технологические процессы отделочных работ.
  8. Абсолютные и относительные ссылки. Стандартные формулы и функции. Логические функции
  9. Адаптация организма к условиям внешней среды и её механизмы. Биологические ритмы организма.
  10. Активные элементы электрических цепей
  11. Анализ и оценка инвестиций в реальные активы на основе дисконтированного потока денежных средств. Чистая приведенная стоимость (NPV) проекта.
  12. АНАЛИЗ ПЛАТЕЖЕСПОСОБНОСТИ НА ОСНОВЕ АБСОЛЮТНЫХ ПОКАЗАТЕЛЕЙ ЛИКВИДНОСТИ


Последнее изменение этой страницы: 2016-05-30; Просмотров: 1485; Нарушение авторского права страницы


lektsia.com 2007 - 2024 год. Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав! (0.079 с.)
Главная | Случайная страница | Обратная связь